Search in sources :

Example 1 with ConnectionEnd

use of verdict.vdm.vdm_model.ConnectionEnd in project VERDICT by ge-high-assurance.

the class VDMInstrumentor method isProbePort.

protected boolean isProbePort(Connection con) {
    if (con != null) {
        ConnectionEnd dest_con = con.getDestination();
        Port dstPort = dest_con.getComponentPort();
        if (dstPort == null) {
            CompInstancePort instancePort = dest_con.getSubcomponentPort();
            dstPort = instancePort.getPort();
        }
        if (dstPort.isProbe()) {
            return true;
        }
    }
    return false;
}
Also used : CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) Port(verdict.vdm.vdm_model.Port) ConnectionEnd(verdict.vdm.vdm_model.ConnectionEnd)

Example 2 with ConnectionEnd

use of verdict.vdm.vdm_model.ConnectionEnd in project VERDICT by ge-high-assurance.

the class VDMInstrumentor method instrument_link.

// public void instrument_link(Connection connection) {
// // Connection Source
// ConnectionEnd src = connection.getSource();
// 
// // Connection Destination
// ConnectionEnd dest = connection.getDestination();
// 
// // Source Component
// Port src_port = src.getComponentPort();
// // Destination Component
// Port dest_port = dest.getComponentPort();
// 
// if (src_port == null && dest_port == null) {
// // Both are sub-compon
// System.out.println("Both are subcomponents.");
// }
// if (src_port == null && dest_port != null) {
// // Only one is Subcomponent
// System.out.println(dest_port.getId() + " -- " + dest_port.getName());
// }
// if (src_port != null && dest_port == null) {
// // One Subcomponent
// System.out.println(src_port.getId() + " -- " + src_port.getName());
// }
// }
// public void create_link(Connection old_channel, ComponentInstance
// src_componentInstance,
// ComponentInstance dest_componentInstance) {
// 
// ComponentInstance instrumented_componentInstance = new ComponentInstance();
// 
// String component_ID = src_componentInstance.getName() + "_Inst_" +
// dest_componentInstance.getName();
// instrumented_componentInstance.setId(component_ID + "_Instance");
// instrumented_componentInstance.setName(component_ID);
// 
// instrumented_componentInstance.setSpecification(value);
// instrumented_componentInstance.setImplementation(value);
// 
// ComponentType instrumented_component = new ComponentType();
// instrumented_component.setId(component_ID);
// instrumented_component.setName(component_ID);
// 
// 
// 
// Connection inst_channel = new Connection();
// 
// //Update Old connection Destination
// old_channel.setDestination(value);
// 
// //Add New Connection Source
// inst_channel.setSource(value);
// //Add New Connection Destination
// inst_channel.setDestination(value);
// 
// 
// }
public String instrument_link(String compID, Connection connection, BlockImpl blockImpl) {
    // instrument_link(connection);
    // System.out.println("Instrumented Link ***" + connection.getName());
    // Default Block Implementation
    ComponentImpl compImpl = null;
    if (compID != null) {
        compImpl = retrieve_cmp_impl(compID);
    }
    // Connections without Components Instrumentation.
    if (compImpl == null) {
        compImpl = retrieve_main_cmp_impl();
    }
    ComponentType instrumented_cmp = new ComponentType();
    // R.H.S
    ConnectionEnd src = connection.getSource();
    ComponentInstance src_componentInstance = new ComponentInstance();
    // Source Connection
    Port src_port = src.getComponentPort();
    if (src_port != null) {
        String identifier = compImpl.getId();
        // identifier = identifier.replace(".I", "_I");
        identifier = identifier.replace(".", "_dot_");
        identifier = identifier.replace("::", "_double_colon_");
        src_componentInstance.setId(identifier);
        src_componentInstance.setName(identifier);
        src_componentInstance.setImplementation(compImpl);
    }
    // if (src_port == instrumented_port) {
    CompInstancePort compInstancePort = src.getSubcomponentPort();
    if (compInstancePort != null) {
        src_componentInstance = compInstancePort.getSubcomponent();
        src_port = compInstancePort.getPort();
    }
    // R.H.S
    ConnectionEnd dest = connection.getDestination();
    ComponentInstance dest_componentInstance = new ComponentInstance();
    // Source Connection
    Port dest_port = dest.getComponentPort();
    if (dest_port != null) {
        String identifier = compImpl.getId();
        // identifier = identifier.replace(".I", "_I");
        identifier = identifier.replace(".", "_dot_");
        identifier = identifier.replace("::", "_double_colon_");
        dest_componentInstance.setId(identifier);
        dest_componentInstance.setName(identifier);
        dest_componentInstance.setImplementation(compImpl);
    }
    // if (dest_port == instrumented_port) {
    compInstancePort = dest.getSubcomponentPort();
    if (compInstancePort != null) {
        dest_componentInstance = compInstancePort.getSubcomponent();
        dest_port = compInstancePort.getPort();
    }
    String instrument_cmp_Id = src_componentInstance.getName() + "_Inst_" + dest_componentInstance.getName() + "_port_" + dest_port.getName();
    instrument_cmp_Id = instrument_cmp_Id.replace(".", "_dot_");
    // Setting Component IDs
    instrumented_cmp.setId(instrument_cmp_Id);
    instrumented_cmp.setName(instrument_cmp_Id);
    // output port
    Port instrumented_port_dest = new Port();
    instrumented_port_dest.setId(dest_port.getId());
    instrumented_port_dest.setName(dest_port.getName());
    instrumented_port_dest.setMode(dest_port.getMode());
    instrumented_port_dest.setType(dest_port.getType());
    if (dest_port.isEvent() != null && dest_port.isEvent()) {
        instrumented_port_dest.setEvent(true);
    } else {
        instrumented_port_dest.setEvent(false);
    }
    instrumented_cmp.getPort().add(instrumented_port_dest);
    // Input port
    Port instrumented_port_src = new Port();
    instrumented_port_src.setId(src_port.getId());
    instrumented_port_src.setName(src_componentInstance + "_port_" + src_port.getName());
    instrumented_port_src.setMode(src_port.getMode());
    if (src_port.isEvent() != null && src_port.isEvent()) {
        instrumented_port_src.setEvent(true);
    } else {
        instrumented_port_src.setEvent(false);
    }
    String global_constant_Id = src_componentInstance.getName();
    if (instrumented_port_src.getMode() == instrumented_port_dest.getMode()) {
        instrumented_port_src.setName(src_port.getName());
        if (instrumented_port_src.getMode() == PortMode.IN) {
            instrumented_port_src.setMode(PortMode.OUT);
        } else {
            instrumented_port_dest.setMode(PortMode.IN);
        }
    } else {
        instrumented_port_src.setName(src_port.getName());
    }
    if (dest_port.getMode() == PortMode.OUT) {
        global_constant_Id += "_port_" + dest_port.getName() + "_instrumented";
    } else {
        global_constant_Id += "_port_" + src_port.getName() + "_instrumented";
    }
    instrumented_port_src.setType(dest_port.getType());
    instrumented_cmp.getPort().add(instrumented_port_src);
    vdm_model.getComponentType().add(instrumented_cmp);
    // Modify connection.
    ConnectionEnd con_end_inst = new ConnectionEnd();
    // instrumentd_port.setPort(value);
    ComponentInstance instrumented_compInstance = new ComponentInstance();
    instrumented_compInstance.setId(connection.getName());
    instrumented_compInstance.setName(connection.getName());
    instrumented_compInstance.setSpecification(instrumented_cmp);
    // -----------------------------------------
    // Adding Auxiliary Node.
    NodeCall nodeCall = new NodeCall();
    nodeCall.setNodeId(instrumented_cmp.getId());
    Expression callExpr = new Expression();
    callExpr.setCall(nodeCall);
    ContractItem true_guarantee_item = new ContractItem();
    // true_guarantee_item.setName("true");
    Expression true_expr = new Expression();
    Boolean true_lit = Boolean.TRUE;
    true_expr.setBoolLiteral(true_lit);
    true_guarantee_item.setExpression(true_expr);
    ContractSpec contractSpec = new ContractSpec();
    contractSpec.getGuarantee().add(true_guarantee_item);
    // ---------------------------------------------
    ComponentImpl instrument_compImpl = new ComponentImpl();
    instrument_compImpl.setId(instrumented_cmp.getId() + "_dot_impl");
    instrument_compImpl.setName(instrumented_cmp.getName() + "_dot_Impl");
    instrument_compImpl.setType(instrumented_cmp);
    IfThenElse ifelse = new IfThenElse();
    // Condition
    Expression cond_expr = new Expression();
    global_constant_Id = global_constant_Id.replace(".", "_dot_");
    cond_expr.setIdentifier(global_constant_Id);
    ifelse.setCondition(cond_expr);
    // Then
    Expression then_arg = new Expression();
    then_arg.setIdentifier(dest_port.getName());
    ifelse.setThenBranch(callExpr);
    // Else
    Expression else_arg = new Expression();
    else_arg.setIdentifier(dest_port.getName());
    nodeCall.getArgument().add(else_arg);
    ifelse.setElseBranch(then_arg);
    Expression instrumented_expr = new Expression();
    instrumented_expr.setConditionalExpression(ifelse);
    NodeEquation n_eq = new NodeEquation();
    NodeEquationLHS neq_lhs = new NodeEquationLHS();
    neq_lhs.getIdentifier().add(src_port.getName() + "_instrumented");
    n_eq.setLhs(neq_lhs);
    n_eq.setRhs(instrumented_expr);
    NodeBody nodeBody = new NodeBody();
    // VariableDeclaration cond_var = new VariableDeclaration();
    // cond_var.setName(gloabal_constant_Id);
    // DataType dataType = new DataType();
    // dataType.setPlainType(PlainType.BOOL);
    // cond_var.setDataType(dataType);
    // nodeBody.getVariableDeclaration().add(cond_var);
    nodeBody.setIsMain(false);
    nodeBody.getEquation().add(n_eq);
    instrument_compImpl.setDataflowImpl(nodeBody);
    instrumented_compInstance.setImplementation(instrument_compImpl);
    vdm_model.getComponentImpl().add(instrument_compImpl);
    vdm_model.getComponentType().add(instrumented_cmp);
    // -----------------------------------------
    CompInstancePort compInstance_inst_port = new CompInstancePort();
    compInstance_inst_port.setPort(dest_port);
    compInstance_inst_port.setSubcomponent(instrumented_compInstance);
    con_end_inst.setSubcomponentPort(compInstance_inst_port);
    blockImpl.getSubcomponent().add(instrumented_compInstance);
    connection.setDestination(con_end_inst);
    Connection new_con = new Connection();
    // Copying connection related artifacts
    new_con.setName(connection.getName() + "_instrumented_channel");
    // new_con.setConnType(connection.getConnType());
    // new_con.setFlowType(connection.getFlowType());
    // 
    // new_con.setDataEncrypted(connection.isEncryptedTransmission());
    // new_con.setAuthenticated(connection.isAuthenticated());
    new_con.setSource(con_end_inst);
    compInstance_inst_port.setPort(src_port);
    new_con.setDestination(dest);
    blockImpl.getConnection().add(new_con);
    return global_constant_Id;
}
Also used : ComponentType(verdict.vdm.vdm_model.ComponentType) NodeCall(verdict.vdm.vdm_lustre.NodeCall) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) NodeBody(verdict.vdm.vdm_lustre.NodeBody) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) Port(verdict.vdm.vdm_model.Port) Connection(verdict.vdm.vdm_model.Connection) NodeEquationLHS(verdict.vdm.vdm_lustre.NodeEquationLHS) ComponentImpl(verdict.vdm.vdm_model.ComponentImpl) NodeEquation(verdict.vdm.vdm_lustre.NodeEquation) Expression(verdict.vdm.vdm_lustre.Expression) ContractItem(verdict.vdm.vdm_lustre.ContractItem) ComponentInstance(verdict.vdm.vdm_model.ComponentInstance) ContractSpec(verdict.vdm.vdm_lustre.ContractSpec) ConnectionEnd(verdict.vdm.vdm_model.ConnectionEnd) IfThenElse(verdict.vdm.vdm_lustre.IfThenElse)

Example 3 with ConnectionEnd

use of verdict.vdm.vdm_model.ConnectionEnd in project VERDICT by ge-high-assurance.

the class VDMInstrumentor method retrieve_component_and_channels.

protected void retrieve_component_and_channels(Model vdm_model, List<String> threats, boolean blame_assignment, boolean component_level) {
    HashSet<ComponentType> vdm_components = new HashSet<ComponentType>();
    HashSet<Connection> vdm_links = new HashSet<Connection>();
    // Initialize Components with Empty Ports and Ignore
    identifyEmptyOutputComponents();
    // Initialize DataFlow for empty Implementations.
    LustreProgram lt = vdm_model.getDataflowCode();
    if (lt == null) {
        lt = new LustreProgram();
        vdm_model.setDataflowCode(lt);
    }
    if (threats.contains("LS")) {
        System.out.println("Location Spoofing Instrumentation");
        locationSpoofing(vdm_components);
    }
    if (threats.contains("LB")) {
        System.out.println("Logic Bomb Instrumentation");
        logicBomb(vdm_components);
    }
    if (threats.contains("SV")) {
        System.out.println("Software Virus/malware/worm/trojan");
        softwareVirus(vdm_components);
    }
    if (threats.contains("RI")) {
        System.out.println("Remote Code Injection");
        remoteCodeInjection(vdm_components);
    }
    if (threats.contains("OT")) {
        System.out.println("Outsider Threat");
        outsiderThreat(vdm_components);
    }
    if (threats.contains("IT")) {
        System.out.println("Insider Threat");
        insiderThreat(vdm_components);
    }
    if (threats.contains("HT")) {
        System.out.println("Hardware Trojans");
        hardwareTrojan(vdm_components);
    }
    if (threats.contains("NI")) {
        System.out.println("Network Injection Instrumentation");
        // Snooze links for component level blame assignment.
        if (!component_level) {
            networkInjection(vdm_links);
        }
    }
    if (threats.contains("BN")) {
        System.out.println("Benign");
        vdm_components.clear();
        vdm_links.clear();
    }
    // int component_index = 1;
    // Removed Once component Implemtation assumption.
    ComponentImpl componentImpl = retrieve_main_cmp_impl();
    BlockImpl blockImpl = null;
    if (componentImpl != null) {
        blockImpl = componentImpl.getBlockImpl();
    }
    Map<String, HashSet<Connection>> components_map = new HashMap<String, HashSet<Connection>>();
    if (vdm_components.size() > 0) {
        for (ComponentType component : vdm_components) {
            blockImpl = retrieve_block(component);
            HashSet<Connection> vdm_cmp_links = instrument_component(component, blockImpl);
            for (Connection link_con : vdm_cmp_links) {
                // Check if connection contains Empty Component on Port Ends.
                if (!ignoreMarkedLink(link_con)) {
                    // Check if Port is Probe Port
                    if (!isProbePort(link_con)) {
                        vdm_links.add(link_con);
                    }
                }
            }
            components_map.put(component.getId(), vdm_cmp_links);
        }
    }
    // Snoorzing probe ports and Empty output components
    if (vdm_links.size() > 0) {
        Iterator<Connection> it = vdm_links.iterator();
        while (it.hasNext()) {
            Connection con = it.next();
            if (isProbePort(con)) {
                it.remove();
            } else if (ignoreMarkedLink(con)) {
                it.remove();
            }
        }
    }
    HashSet<String> global_constants = new HashSet<String>();
    Map<Connection, String> connections_map = new HashMap<Connection, String>();
    if (vdm_links.size() > 0) {
        for (Connection connection : vdm_links) {
            // System.out.println("(" + connection_index++ + ") " +
            // connection.getName());
            // instrument_link(connection, blockImpl);
            String cmpID = getComponentID(components_map, connection);
            if (cmpID != null) {
                // Find Block based on Connection
                blockImpl = getBlockID(cmpID);
                String constant = instrument_link(cmpID, connection, blockImpl);
                global_constants.add(constant);
                connections_map.put(connection, constant);
            } else {
                // Handle 'NI' as Special Case.
                ConnectionEnd conDest = connection.getSource();
                Port dest_port = conDest.getComponentPort();
                if (dest_port != null) {
                    cmpID = dest_port.getId();
                } else {
                    CompInstancePort compInstance = conDest.getSubcomponentPort();
                    ComponentInstance compInst = compInstance.getSubcomponent();
                    cmpID = compInst.getId();
                }
                blockImpl = retrieve_block(connection);
                String constant = instrument_link(cmpID, connection, blockImpl);
                global_constants.add(constant);
                connections_map.put(connection, constant);
            }
        }
    } else {
        emptySelection = true;
    }
    // Declare Global Constants
    for (String comp_id : global_constants) {
        ConstantDeclaration global_comp_const = new ConstantDeclaration();
        DataType global_comp_dataType = new DataType();
        global_comp_dataType.setPlainType(PlainType.BOOL);
        global_comp_const.setName(comp_id);
        global_comp_const.setDataType(global_comp_dataType);
        // Expression global_expr = new Expression();
        // global_expr.setBoolLiteral(true);
        // global_comp_const.setDefinition(global_expr);
        vdm_model.getDataflowCode().getConstantDeclaration().add(global_comp_const);
    // g_constants.add(global_comp_const);
    }
    Map<String, List<String>> connection_gps_comp_map = connection_gps_mapper(connections_map, components_map);
    // Choosing Blame options
    if (threats.contains("LS") && component_level) {
        // Link Level Instrumentation varibales
        dec_var_asmp_const(connection_gps_comp_map, blame_assignment, false);
    } else if (threats.contains("LS") && !component_level) {
        dec_var_asmp_const(connection_gps_comp_map, blame_assignment, true);
    }
    if (blame_assignment && component_level) {
        Map<String, List<String>> connection_comp_map = connection_mapper(connections_map, components_map);
        ComponentImpl compImpl = retrieve_main_cmp_impl();
        // if (compImpl.getBlockImpl() == null) {
        // compImpl = retrieve_block(compImpl);
        // }
        ContractSpec contractSpec = compImpl.getType().getContract();
        for (String key : components_map.keySet()) {
            String id = key.replace("::", "_double_colon_");
            Expression wk_expr = new Expression();
            wk_expr.setIdentifier(id);
            Expression not_wkexpr = new Expression();
            not_wkexpr.setNot(wk_expr);
            // Adding weakly assume variables
            ContractItem weakly_assume_item = new ContractItem();
            weakly_assume_item.setName(id + " is not instrumented");
            weakly_assume_item.setExpression(not_wkexpr);
            // Checking connection before adding assumption
            HashSet<Connection> empty_connection_check = components_map.get(key);
            if (empty_connection_check.size() > 0) {
                contractSpec.getWeaklyassume().add(weakly_assume_item);
            }
        }
        dec_var_const(connection_comp_map);
    } else if (blame_assignment && !component_level) {
        ComponentImpl compImpl = retrieve_main_cmp_impl();
        if (compImpl != null) {
            // if (compImpl.getBlockImpl() == null) {
            // compImpl = retrieve_block_impl(compImpl);
            // }
            ContractSpec contractSpec = compImpl.getType().getContract();
            for (String key : global_constants) {
                Expression wk_expr = new Expression();
                wk_expr.setIdentifier(key);
                Expression not_wkexpr = new Expression();
                not_wkexpr.setNot(wk_expr);
                // Adding weakly assume variables
                ContractItem weakly_assume_item = new ContractItem();
                weakly_assume_item.setName(link_name(key) + " is not instrumented");
                weakly_assume_item.setExpression(not_wkexpr);
                contractSpec.getWeaklyassume().add(weakly_assume_item);
            }
        }
    }
}
Also used : BlockImpl(verdict.vdm.vdm_model.BlockImpl) HashMap(java.util.HashMap) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) Port(verdict.vdm.vdm_model.Port) ConstantDeclaration(verdict.vdm.vdm_lustre.ConstantDeclaration) ComponentImpl(verdict.vdm.vdm_model.ComponentImpl) ContractItem(verdict.vdm.vdm_lustre.ContractItem) ComponentInstance(verdict.vdm.vdm_model.ComponentInstance) DataType(verdict.vdm.vdm_data.DataType) ArrayList(java.util.ArrayList) List(java.util.List) HashSet(java.util.HashSet) ComponentType(verdict.vdm.vdm_model.ComponentType) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) Connection(verdict.vdm.vdm_model.Connection) Expression(verdict.vdm.vdm_lustre.Expression) LustreProgram(verdict.vdm.vdm_lustre.LustreProgram) ContractSpec(verdict.vdm.vdm_lustre.ContractSpec) ConnectionEnd(verdict.vdm.vdm_model.ConnectionEnd)

Example 4 with ConnectionEnd

use of verdict.vdm.vdm_model.ConnectionEnd in project VERDICT by ge-high-assurance.

the class VDM2Lustre method visit.

// Connect -> NodeCall
public void visit(Connection connection, NodeBody nodeBody) {
    // R.H.S
    ConnectionEnd src = connection.getSource();
    // Source Connection
    Port src_component_port = src.getComponentPort();
    // L.H.S.
    ConnectionEnd dest = connection.getDestination();
    // Destination Connection
    Port dest_component_port = dest.getComponentPort();
    if (dest_component_port != null) {
        // Destination = Component (z3)
        // Source = SubComponent (my_b:B _z2)
        NodeEquation neq = new NodeEquation();
        NodeEquationLHS eq_lhs = new NodeEquationLHS();
        eq_lhs.getIdentifier().add(dest_component_port.getName());
        neq.setLhs(eq_lhs);
        CompInstancePort compInstancePort = src.getSubcomponentPort();
        ComponentInstance componentInstance = compInstancePort.getSubcomponent();
        src_component_port = compInstancePort.getPort();
        String src_portID = src_component_port.getName();
        Expression expr = new Expression();
        List<VariableDeclaration> vars = nodeBody.getVariableDeclaration();
        boolean match = false;
        String id_expr = componentInstance.getId() + "_port_" + src_portID;
        for (VariableDeclaration var : vars) {
            if (var.getName().equals(id_expr)) {
                match = true;
                break;
            }
        }
        if (match) {
            expr.setIdentifier(id_expr);
        } else {
            String inst_cmp = "(.+)_instrumented";
            Pattern inst_pattern = Pattern.compile(inst_cmp);
            // System.out.println(src_portID);
            Matcher m = inst_pattern.matcher(src_portID);
            if (m.matches()) {
                src_portID = m.group(1);
            }
            id_expr = componentInstance.getId() + "_port_" + src_portID;
            expr.setIdentifier(id_expr);
        // System.out.println(id_expr);
        // System.out.println(">>>>>>>>>>>>>Identifiers: " +
        // expr.getIdentifier());
        }
        neq.setRhs(expr);
        nodeBody.getEquation().add(neq);
    } else if (src_component_port != null) {
        CompInstancePort compInstancePort = dest.getSubcomponentPort();
        // X1
        dest_component_port = compInstancePort.getPort();
        // my_a1 : A
        ComponentInstance componentInstance = compInstancePort.getSubcomponent();
        String arg_value = src_component_port.getName();
        // called node Identifier.
        String called_node_ID = null;
        ComponentType componentType = componentInstance.getSpecification();
        ComponentImpl componentImpl = componentInstance.getImplementation();
        if (componentType == null) {
            componentType = componentImpl.getType();
            called_node_ID = componentType.getName() + "_dot_Impl";
        }
        if (componentType != null && componentImpl != null) {
            componentType = componentImpl.getType();
            called_node_ID = componentType.getName() + "_dot_Impl";
            String inst_cmp = "(.+)_instrumented";
            Pattern inst_pattern = Pattern.compile(inst_cmp);
            // System.out.println(arg_value);
            Matcher m = inst_pattern.matcher(arg_value);
            if (m.matches()) {
                arg_value = m.group(1);
            }
        } else {
            called_node_ID = componentType.getName();
            arg_value = src_component_port.getName();
        // System.out.println(arg_value);
        }
        for (Port port : componentType.getPort()) {
            // MODE
            PortMode port_mode = port.getMode();
            if (port_mode == PortMode.OUT) {
                // EQ L.H.S Variables *called Node return values
                String expr_id = componentInstance.getId() + "_port_" + port.getName();
                // System.out.print(">>>" + expr_id);
                NodeEquation n_eq = getNodeEq(expr_id, nodeBody);
                if (n_eq != null) {
                    Expression eq_rhs = n_eq.getRhs();
                    NodeCall node_called = eq_rhs.getCall();
                    String inst_cmp = "(.+)_Inst_.*";
                    Pattern inst_pattern = Pattern.compile(inst_cmp);
                    // String node_id = "";
                    // if (node_called != null) {
                    // node_id = node_called.getNodeId();
                    // }
                    // System.out.println(" = " + node_id + " (" + arg_value
                    // +
                    // ")");
                    Matcher m = inst_pattern.matcher(node_called.getNodeId());
                    IfThenElse ifelse = new IfThenElse();
                    Expression called_expr = new Expression();
                    // Condition
                    Expression gps_expr = new Expression();
                    if (m.matches()) {
                        // Instrumented component Instance ID
                        String component_id = m.group(1);
                        gps_expr.setIdentifier(component_id);
                    }
                    ifelse.setCondition(gps_expr);
                    // Then
                    ifelse.setThenBranch(called_expr);
                    // Else
                    Expression arg = new Expression();
                    arg.setIdentifier(src_component_port.getName());
                    ifelse.setElseBranch(arg);
                    // NodeCalled Expr
                    called_expr.setCall(node_called);
                    Expression instrumented_expr = new Expression();
                    instrumented_expr.setConditionalExpression(ifelse);
                    if (node_called != null) {
                        if (node_called.getNodeId().equals(called_node_ID)) {
                            for (Expression arg_expr : node_called.getArgument()) {
                                if (arg_expr.getIdentifier().equals(dest_component_port.getName())) {
                                    arg_expr.setIdentifier(arg_value);
                                } else if (node_called.getArgument().size() == 1) {
                                    arg_expr.setIdentifier(arg_value);
                                }
                            }
                        }
                    }
                }
            }
        }
    } else {
        CompInstancePort compInstancePort = src.getSubcomponentPort();
        ComponentInstance componentInstance = compInstancePort.getSubcomponent();
        src_component_port = compInstancePort.getPort();
        Expression arg_expr = new Expression();
        // my_a1_y1
        String src_portID = src_component_port.getName();
        String componentInstanceID = componentInstance.getId();
        arg_expr.setIdentifier(componentInstanceID + "_port_" + src_portID);
        // called node Identifier.
        String called_node_ID = null;
        compInstancePort = dest.getSubcomponentPort();
        componentInstance = compInstancePort.getSubcomponent();
        dest_component_port = compInstancePort.getPort();
        ComponentType componentType = componentInstance.getSpecification();
        ComponentImpl componentImpl = componentInstance.getImplementation();
        String old_portID = null;
        if (componentType == null) {
            componentType = componentImpl.getType();
            called_node_ID = componentType.getName();
        }
        if (componentType != null && componentImpl != null) {
            componentType = componentImpl.getType();
            called_node_ID = componentType.getName() + "_dot_Impl";
            String inst_cmp = "(.+)_instrumented";
            Pattern inst_pattern = Pattern.compile(inst_cmp);
            // System.out.print(src_portID + " ==> ");
            Matcher m = inst_pattern.matcher(src_portID);
            if (m.matches()) {
                old_portID = src_portID;
                src_portID = m.group(1);
                arg_expr.setIdentifier(componentInstanceID + "_port_" + src_portID);
            // System.out.println(old_portID + " -- " + src_portID);
            }
        // System.out.println(arg_expr.getIdentifier() + " <== " +
        // src_portID);
        } else {
            called_node_ID = componentType.getName();
        }
        String node_arg = "(.+)_Inst_.*";
        Pattern arg_pattern = Pattern.compile(node_arg);
        Matcher m_arg = arg_pattern.matcher(called_node_ID);
        if (!m_arg.matches() && old_portID != null) {
            arg_expr.setIdentifier(componentInstanceID + "_port_" + old_portID);
        // System.out.println("Node ID =>" + called_node_ID + "(" +
        // arg_expr.getIdentifier() + ")");
        }
        // System.out.println(called_node_ID);
        for (Port port : componentType.getPort()) {
            // MODE
            PortMode port_mode = port.getMode();
            if (port_mode == PortMode.OUT) {
                // EQ L.H.S Variables *called Node return values
                String expr_id = componentInstance.getId() + "_port_" + port.getName();
                NodeEquation n_eq = getNodeEq(expr_id, nodeBody);
                if (n_eq != null) {
                    Expression eq_rhs = n_eq.getRhs();
                    NodeCall node_called = eq_rhs.getCall();
                    String inst_cmp = "(.+)_Inst_.*";
                    Pattern inst_pattern = Pattern.compile(inst_cmp);
                    String node_id = "";
                    if (node_called != null) {
                        node_id = node_called.getNodeId();
                    }
                    Matcher m = inst_pattern.matcher(node_id);
                    IfThenElse ifelse = new IfThenElse();
                    Expression called_expr = new Expression();
                    // Condition
                    Expression g_expr = new Expression();
                    if (m.matches()) {
                        // Instrumented component Instance ID
                        String component_id = m.group(1);
                        g_expr.setIdentifier(component_id);
                    }
                    ifelse.setCondition(g_expr);
                    // Then
                    ifelse.setThenBranch(called_expr);
                    // Else
                    // Expression arg = new Expression();
                    ifelse.setElseBranch(arg_expr);
                    // NodeCalled Expr
                    called_expr.setCall(node_called);
                    Expression instrumented_expr = new Expression();
                    instrumented_expr.setConditionalExpression(ifelse);
                    if (node_called != null) {
                        if (node_called.getNodeId().equals(called_node_ID)) {
                            for (Expression a_expr : node_called.getArgument()) {
                                if (a_expr.getIdentifier().equals(dest_component_port.getName())) {
                                    a_expr.setIdentifier(arg_expr.getIdentifier());
                                } else if (node_called.getArgument().size() == 1) {
                                    a_expr.setIdentifier(arg_expr.getIdentifier());
                                }
                            }
                        }
                    }
                }
            }
        }
    }
}
Also used : Pattern(java.util.regex.Pattern) ComponentType(verdict.vdm.vdm_model.ComponentType) NodeCall(verdict.vdm.vdm_lustre.NodeCall) Matcher(java.util.regex.Matcher) PortMode(verdict.vdm.vdm_model.PortMode) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) Port(verdict.vdm.vdm_model.Port) NodeEquationLHS(verdict.vdm.vdm_lustre.NodeEquationLHS) ComponentImpl(verdict.vdm.vdm_model.ComponentImpl) NodeEquation(verdict.vdm.vdm_lustre.NodeEquation) Expression(verdict.vdm.vdm_lustre.Expression) ComponentInstance(verdict.vdm.vdm_model.ComponentInstance) ConnectionEnd(verdict.vdm.vdm_model.ConnectionEnd) VariableDeclaration(verdict.vdm.vdm_lustre.VariableDeclaration) IfThenElse(verdict.vdm.vdm_lustre.IfThenElse)

Example 5 with ConnectionEnd

use of verdict.vdm.vdm_model.ConnectionEnd in project VERDICT by ge-high-assurance.

the class Vdm2Csv method updateSafetyMissionsTable.

private void updateSafetyMissionsTable(Table missionTable, String scenario, String missionReqId, String cyberReqId, String probability, SafetyReqExpr safetyReqCondition, Map<String, List<ConnectionEnd>> connectionDestToSourceMap) {
    if (connectionDestToSourceMap.containsKey(safetyReqCondition.getPort().getName())) {
        List<ConnectionEnd> linkedSourcePorts = connectionDestToSourceMap.get(safetyReqCondition.getPort().getName());
        for (ConnectionEnd linkedSourcePort : linkedSourcePorts) {
            if (linkedSourcePort.getSubcomponentPort() != null) {
                CompInstancePort destCompPort = linkedSourcePort.getSubcomponentPort();
                missionTable.addValue(scenario);
                missionTable.addValue(sanitizeValue(missionReqId));
                // MissionReq
                missionTable.addValue("");
                missionTable.addValue(cyberReqId);
                // Req
                missionTable.addValue("");
                // get cia and add as MissionImpactCIA
                missionTable.addValue("");
                // Effect
                missionTable.addValue("");
                // get and add severity
                missionTable.addValue(probability);
                // get port's linked source instance
                missionTable.addValue(destCompPort.getSubcomponent().getName());
                missionTable.addValue(destCompPort.getPort().getName());
                // get CIA and add it to table
                missionTable.addValue(formatToSmall(safetyReqCondition.getPort().getIa().name()));
                missionTable.addValue("Safety");
                missionTable.capRow();
            } else {
                throw new RuntimeException("Linked Source Port has no instance information");
            }
        }
    } else {
        throw new RuntimeException("Missing component instance dependency. " + safetyReqCondition.getPort().getName() + " is not linked to a source port");
    }
}
Also used : CompInstancePort(verdict.vdm.vdm_model.CompInstancePort) ConnectionEnd(verdict.vdm.vdm_model.ConnectionEnd)

Aggregations

ConnectionEnd (verdict.vdm.vdm_model.ConnectionEnd)13 CompInstancePort (verdict.vdm.vdm_model.CompInstancePort)12 Port (verdict.vdm.vdm_model.Port)8 ComponentImpl (verdict.vdm.vdm_model.ComponentImpl)7 ComponentInstance (verdict.vdm.vdm_model.ComponentInstance)7 ComponentType (verdict.vdm.vdm_model.ComponentType)5 ArrayList (java.util.ArrayList)4 HashSet (java.util.HashSet)3 List (java.util.List)3 Expression (verdict.vdm.vdm_lustre.Expression)3 HashMap (java.util.HashMap)2 ContractItem (verdict.vdm.vdm_lustre.ContractItem)2 ContractSpec (verdict.vdm.vdm_lustre.ContractSpec)2 IfThenElse (verdict.vdm.vdm_lustre.IfThenElse)2 NodeCall (verdict.vdm.vdm_lustre.NodeCall)2 NodeEquation (verdict.vdm.vdm_lustre.NodeEquation)2 NodeEquationLHS (verdict.vdm.vdm_lustre.NodeEquationLHS)2 Connection (verdict.vdm.vdm_model.Connection)2 Matcher (java.util.regex.Matcher)1 Pattern (java.util.regex.Pattern)1